基于vhdl的正弦波发生器 下载本文

内容发布更新时间 : 2024/5/18 21:18:34星期一 下面是文章的全部内容请认真阅读。

2012--2013学年第 1学期 物理与电子工程 学院 期末考试卷《EDA技术及应用 》 (课程论文等试卷样式) 学号: 姓名: 班级: 电子信息(1)班 成绩: 评语: 装 (考试题目及要求) 3.利用VHDL语言设计一个正弦波信号发生器,采用AD0832芯片输出波形,要求具有至少100Hz、1KHz、10KHz三段频率设置功能和频率微调功能。正弦波采样点不能低于10个,测试其输出信号波形不失真的最低频率和最高频率值。

订 线

1.引言.................................................................................................................... 1 2. EDA及系统硬件概述....................................................................................... 2

2.1 VHDL语言............................................................................................... 2 2.2 Quartus II软件................................................................................... 3 2.3 DAC0832的简要介绍:......................................................................... 3 3.总体设计思想及流程........................................................................................ 4 4. 主要功能的实现模块如下:.......................................................................... 5

4.1倍频器模块............................................................................................. 5 4.2主程序模块............................................................................................. 6 4.3 波形显示模块........................................................................................ 6 4.4频率显示模块......................................................................................... 7 5. 软件仿真.......................................................................................................... 7 6.硬件测试............................................................................................................ 8

6.1编译......................................................................................................... 8 6.2 引脚的锁定............................................................................................ 9 6.3编程下载............................................................................................... 10 6.4 硬件验证.............................................................................................. 10 6.5 波形显示.............................................................................................. 10 7. 总结与体会.................................................................................................... 12 参考文献.............................................................................................................. 12 附录...................................................................................................................... 13

1.引言

信号发生器是一种能够产生多种波形,如三角波、方波、锯齿波、正弦波的仪器。信号发生器在电路实验和设备检测以及通信、雷达、导航、宇航等领域有广泛的应用。正因为其在生活中应用的重要性,人们它做了大量的研究,总结出了许多实现方式。可以基于FPGA 、VHDL、单片机、DOS技能、数字电路等多种方法实现。正弦波信号发生器是信号发生器的一种。可以实现信号发生器的一些基本功能。在本次EDA课程设计中所要求的是采用VHDL来实现正弦波信号发生器。

设计的正弦波信号发生器所具有的功能如下:

(1)电路的外部频率为40MHz,自己所设计的正弦信号发生器可产生0-1KHz、1KHz~10KHz、10KHz~1MHz三档频率的信号;

(2)具有频率段选择的功能;

(3)在同一频率档内,可实现频率的加减; (4)在显示波形的同时能够进行频率的调节; (5)能够显示正弦波 (6)能够显示频率值;

(7)可用示波器进行波形的观测。

1