北邮FPGA实验二cordic 下载本文

内容发布更新时间 : 2024/5/18 23:08:17星期一 下面是文章的全部内容请认真阅读。

FPGA实验二:CORDIC算法

实验报告

学院: 信息与通信工程学院 班级:000000000

姓名: 学号:

一、实验目的:

(1)掌握FPGA设计中的流水线技术;

(2)掌握Cordic算法的基本原理及其实现方法;

(3)了解通过在片内生成ROM的方式进行在板模块测试的方法。

二、实验内容:

按实验指导书所给出的步骤,在FPGA上实现Cordic算法用于计算sin(x)(6次迭代)。

三、程序基本信息:

CORDIC算法计算sin(x)(6次迭代):

以下介绍程序中的输入输出参数和临时变量,在这里首先要说明一点,本程序主要进行的是二进制位运算,因此所有角度和函数值也都是采用二进制表示,具体描述如下:

角度值:均采用8位二进制数表示,也就是00000000-11111111(十进制0-255),对应角度值中的0-2π,因此10000000对应π(180°),01000000对应π/2(90°),依此类推。每一最低位表示360/256°。 函数值:程序中输出的三角函数值同样采用8位二进制数表示,但三角函数有正有负,因此实际采用的是8位补码,第一位是符号位(0为正,1为负),后面7位为数据位,即00000000-01111111(0-127)对应0-+1,而10000000-11111111(128-255)对应-1到-1/256,每一最低位表示1/256。 输入及输出参数: clk:时钟信号; rst:重置信号;

ena: 启动信号,该信号置1时系统开始运算过程; phase_in(8位二进制数):输入的角度值; sin_out(8位二进制数):输出的sin函数值 函数变量(仅在运行中调用): cos_out(8位二进制数):计算得到的cos函数值,与sin_out同时产生但不输出;

phase_in_reg(8位二进制数):程序中实际参与运算的角度值,下面会进行说明;

x0-x6、y0-y6、z0-z6(均为8位二进制数):在迭代算法中使用的临时参数,x和y参数存储三角函数值,z存储角度值。x0、y0、z0存储初值,其它参数存储6次迭代的临时值。

quadrant(8个1位存储器):存储输入角度值的相位信息,具体用处见下面说明。

PIPELINE:流水线,必须和数据位长相同。本程序使用8级流水线。

四、程序流程图:

开始初始化相关变量输入的角度值转换为第一象限角度值,以下使用转换后的角度值计算置x0=1/An,y0=z0=0执行迭代运算根据原输入角度的象限对迭代后的结果进行处理输出sin(x)结束 CORDIC算法的旋转模式: 它采用二进制位运算,即:

1、z_i(d_i)正负的判断:通过判断z_i符号位(首位);

2、2^(-i)的实现:即每次迭代时对x_i或y_i作除2操作,具体实现方法为把原二进制值向右移动一位,移出的空位补0。

3、每次迭代时,z的值要减去的角度值满足公式tan^(-1)?〖2^(-i) 〗。 根据原输入角度值所在象限对迭代后的结果进行处理: 利用三角函数的性质,由于结果是在角度位于第一象限的情况下获得的,因此可作如下处理。(输入角度在)第二象限:sin?x值不变,cos?x值取负。第三象限:sin?x和cos?x值均取负。第四象限:cos?x值不变,sin?x取负。取负方法:所有二进制位取反加一(补码)。

五、功能测试记录:

1、CORDIC算法计算sin(x)值

2、Chipscope在板调试波形:

六、调试过程中的主要问题及难点:

1、Chipscope的仿真波形显示错误。由如下原因导致Chipscope内核定义文件设置有误,程序中采用了双触发源,因此Trigger Ports应有2个。 2、ModelSim仿真信号混乱。

应该在确保选中测试文件的情况下进行仿真

3、双击“Analyze Design Using Chipscope”时,系统报错。是因为顶层测试文件中实例化IPCore模块语句中,IPCore名字与工程中创建的IPCORE名字不一致。

七、实验总结:

接触到了一种比较新的Cordic算法 ,并对其有了一个比较初步的了解。再一次地熟悉了ISE 13.2集成开发环境和Modelsim软件的使用方法;。