设计含异步清零和同步时钟使能的加法计数器 下载本文

内容发布更新时间 : 2024/5/5 14:17:07星期一 下面是文章的全部内容请认真阅读。

output COUT; reg[15:0] Q; always @(posedge CLK or negedge RST) begin if(!RST) Q=16'h0000; //异步清零,低电平有效 else if(EN) //计数使能端,高电平有效 begin if(!LOAD) Q=DATA; //同步置数,低电平有效 if(UP_DOWN) Q=Q+1; //UP_DOWN=1时,加计数 else Q=Q-1; //UP_DOWN=0时,减计数 end end always @(Q) if(Q==16’hFFFF && UP_DOWN) COUT=1’b1; else if(Q==16’h0000 && !UP_DOWN) COUT=1’b1; //COUT=1表示进位输出或COUT=1表示借位输出 endmodule 说明:各学院(实验中心)可根据实验课程的具体需要和要求自行设计和确定实验报告的内容要求和栏目,但表头格式按照“实验项目名称”栏以上部分统一。